Seguir
Xin He
Título
Citado por
Citado por
Año
Attacking vision-based perception in end-to-end autonomous driving models
A Boloor, K Garimella, X He, C Gill, Y Vorobeychik, X Zhang
Journal of Systems Architecture 110, 101766, 2020
942020
Simple physical adversarial examples against end-to-end autonomous driving models
A Boloor, X He, C Gill, Y Vorobeychik, X Zhang
2019 IEEE International Conference on Embedded Software and Systems (ICESS), 1-7, 2019
902019
Sparse-TPU: Adapting systolic arrays for sparse matrices
X He, S Pal, A Amarnath, S Feng, DH Park, A Rovinski, H Ye, Y Chen, ...
Proceedings of the 34th ACM international conference on supercomputing, 1-12, 2020
702020
Nnest: Early-stage design space exploration tool for neural network inference accelerators
L Ke, X He, X Zhang
Proceedings of the International Symposium on Low Power Electronics and …, 2018
392018
AxTrain: Hardware-oriented neural network training for approximate inference
X He, L Ke, W Lu, G Yan, X Zhang
Proceedings of the international symposium on low power electronics and …, 2018
372018
Voltage-stacked GPUs: A control theory driven cross-layer solution for practical voltage stacking in GPUs
A Zou, J Leng, X He, Y Zu, CD Gill, VJ Reddi, X Zhang
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture …, 2018
262018
Transmuter: Bridging the efficiency gap using memory and dataflow reconfiguration
S Pal, S Feng, D Park, S Kim, A Amarnath, CS Yang, X He, J Beaumont, ...
Proceedings of the ACM International Conference on Parallel Architectures …, 2020
252020
NeuADC: Neural network-inspired synthesizable analog-to-digital conversion
W Cao, X He, A Chakrabarti, X Zhang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
252019
Joint design of training and hardware towards efficient and accuracy-scalable neural network inference
X He, W Lu, G Yan, X Zhang
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 8 (4 …, 2018
242018
Exploiting the potential of computation reuse through approximate computing
X He, S Jiang, W Lu, G Yan, Y Han, X Li
IEEE Transactions on Multi-Scale Computing Systems 3 (3), 152-165, 2016
222016
Cosparse: A software and hardware reconfigurable spmv framework for graph analytics
S Feng, J Sun, S Pal, X He, K Kaszyk, D Park, M Morton, T Mudge, M Cole, ...
2021 58th ACM/IEEE Design Automation Conference (DAC), 949-954, 2021
182021
MeNDA: a near-memory multi-way merge solution for sparse transposition and dataflows
S Feng, X He, KY Chen, L Ke, X Zhang, D Blaauw, T Mudge, R Dreslinski
Proceedings of the 49th Annual International Symposium on Computer …, 2022
152022
NeuADC: Neural network-inspired RRAM-based synthesizable analog-to-digital conversion with reconfigurable quantization support
W Cao, X He, A Chakrabarti, X Zhang
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2019
152019
Efficient and reliable power delivery in voltage-stacked manycore system with hybrid charge-recycling regulators
A Zou, J Leng, X He, Y Zu, VJ Reddi, X Zhang
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
152018
ACR: Enabling computation reuse for approximate computing
X He, G Yan, Y Han, X Li
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 643-648, 2016
142016
Superrange: wide operational range power delivery design for both stv and ntv computing
X He, G Yan, Y Han, X Li
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2014
112014
Adaptive multi-thresholds histogram equalization method
X He, XH Li, JL Zhou
Jisuanji Gongcheng/ Computer Engineering 37 (17), 2011
11*2011
Voltage-stacked power delivery systems: Reliability, efficiency, and power management
A Zou, J Leng, X He, Y Zu, CD Gill, VJ Reddi, X Zhang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
92020
ApproxEye: Enabling approximate computation reuse for microrobotic computer vision
X He, G Yan, F Sun, Y Han, X Li
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), 402-407, 2017
72017
A 507 GMACs/J 256-core domain adaptive systolic-array-processor for wireless communication and linear-algebra kernels in 12nm FINFET
KY Chen, CS Yang, YH Sun, CW Tseng, M Fayazi, X He, S Feng, Y Yue, ...
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2022
62022
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20