Seguir
Ying Wang
Título
Citado por
Citado por
Año
DeepBurning: Automatic generation of FPGA-based learning accelerators for the neural network family
Y Wang, J Xu, Y Han, H Li, X Li
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
2562016
Engn: A high-throughput and energy-efficient accelerator for large graph neural networks
S Liang, Y Wang, C Liu, L He, LI Huawei, D Xu, X Li
IEEE Transactions on Computers 70 (9), 1511-1525, 2020
1572020
C-Brain: A deep learning accelerator that tames the diversity of CNNs through adaptive data-level parallelization
L Song, Y Wang, Y Han, X Zhao, B Liu, X Li
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
1302016
Linear symmetric quantization of neural networks for low-precision integer hardware
X Zhao, Y Wang, X Cai, C Liu, L Zhang
712020
Wear rate leveling: Lifetime enhancement of PRAM with endurance variation
J Dong, L Zhang, Y Han, Y Wang, X Li
Proceedings of the 48th Design Automation Conference, 972-977, 2011
692011
Cognitive {SSD}: A deep learning engine for {In-Storage} data retrieval
S Liang, Y Wang, Y Lu, Z Yang, H Li, X Li
2019 USENIX Annual Technical Conference (USENIX ATC 19), 395-410, 2019
542019
Network-aware locality scheduling for distributed data operators in data centers
L Cheng, Y Wang, Q Liu, DHJ Epema, C Liu, Y Mao, J Murphy
IEEE Transactions on Parallel and Distributed Systems 32 (6), 1494-1510, 2021
472021
PIMSim: A flexible and detailed processing-in-memory simulator
S Xu, X Chen, Y Wang, Y Han, X Qian, X Li
IEEE Computer Architecture Letters 18 (1), 6-9, 2018
462018
FCN-engine: Accelerating deconvolutional layers in classic CNN processors
D Xu, K Tu, Y Wang, C Liu, B He, H Li
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-6, 2018
362018
Real-time meets approximate computing: An elastic CNN inference accelerator with adaptive trade-off between QoS and QoR
Y Wang, H Li, X Li
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
362017
Retraining-based timing error mitigation for hardware neural networks
J Deng, Y Fang, Z Du, Y Wang, H Li, O Temam, P Ienne, D Novo, X Li, ...
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), 593-596, 2015
362015
Economizing TSV resources in 3-D network-on-chip design
Y Wang, YH Han, L Zhang, BZ Fu, C Liu, HW Li, X Li
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (3), 493-506, 2014
342014
Re-architecting the on-chip memory sub-system of machine-learning accelerator for embedded devices
Y Wang, H Li, X Li
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-6, 2016
332016
Deepburning-gl: an automated framework for generating graph neural network accelerators
S Liang, C Liu, Y Wang, H Li, X Li
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
312020
Reliability evaluation and analysis of FPGA-based neural network acceleration system
D Xu, Z Zhu, C Liu, Y Wang, S Zhao, L Zhang, H Liang, H Li, KT Cheng
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 29 (3), 472-484, 2021
302021
RRAMedy: Protecting ReRAM-based neural network from permanent and soft faults during its lifetime
W Li, Y Wang, H Li, X Li
2019 IEEE 37th International Conference on Computer Design (ICCD), 91-99, 2019
302019
ProPRAM: Exploiting the transparent logic resources in non-volatile memory for near data computing
Y Wang, Y Han, L Zhang, H Li, X Li
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
302015
Dadu-p: A scalable accelerator for robot motion planning in a dynamic environment
S Lian, Y Han, X Chen, Y Wang, H Xiao
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
272018
Resilience-aware frequency tuning for neural-network-based approximate computing chips
Y Wang, J Deng, Y Fang, H Li, X Li
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (10 …, 2017
272017
P3M: a PIM-based neural network model protection scheme for deep learning accelerator
W Li, Y Wang, H Li, X Li
Proceedings of the 24th Asia and South Pacific Design Automation Conference …, 2019
262019
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20