Seguir
Hsien-Hsin Sean Lee
Hsien-Hsin Sean Lee
Meta
Dirección de correo verificada de fb.com - Página principal
Título
Citado por
Citado por
Año
New architecture for high‐efficiency polymer photovoltaic cells using solution‐based titanium oxide as an optical spacer
JY Kim, SH Kim, HH Lee, K Lee, W Ma, X Gong, AJ Heeger
Advanced materials 18 (5), 572-576, 2006
21062006
Test challenges for 3D integrated circuits
HHS Lee, K Chakrabarty
Design & Test of Computers, IEEE 26 (5), 26-35, 2009
4262009
Parasitic capacitance extraction for FinFETs
CM Ho, KY Su, C Hsiao-Shu, YK Cheng, ZM Wu, HS Lee
US Patent 8,826,213, 2014
3652014
An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth
DH Woo, NH Seong, DL Lewis, HHS Lee
High Performance Computer Architecture (HPCA), 2010 IEEE 16th International …, 2010
3422010
Extending Amdahl's law for energy-efficient computing in the many-core era
DH Woo, HHS Lee
Computer 41 (12), 24-31, 2008
3262008
Security refresh: Prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping
NH Seong, DH Woo, HHS Lee
ACM SIGARCH computer architecture news 38 (3), 383-394, 2010
3092010
Smart refresh: An enhanced memory controller design for reducing energy in conventional and 3D die-stacked DRAMs
M Ghosh, HHS Lee
40th Annual IEEE/ACM international symposium on microarchitecture (MICRO …, 2007
2892007
The architectural implications of facebook's dnn-based personalized recommendation
U Gupta, CJ Wu, X Wang, M Naumov, B Reagen, D Brooks, B Cottel, ...
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
2682020
Adaptive transaction scheduling for transactional memory systems
RM Yoo, HHS Lee
Proceedings of the twentieth annual symposium on Parallelism in algorithms …, 2008
2652008
Sustainable ai: Environmental implications, challenges and opportunities
CJ Wu, R Raghavendra, U Gupta, B Acun, N Ardalani, K Maeng, G Chang, ...
Proceedings of Machine Learning and Systems 4, 795-813, 2022
2392022
SAFER: Stuck-at-fault error recovery for memories
NH Seong, DH Woo, V Srinivasan, JA Rivers, HHS Lee
Microarchitecture (MICRO), 2010 43rd Annual IEEE/ACM International Symposium …, 2010
2272010
3D-MAPS: 3D massively parallel processor with stacked memory
DH Kim, K Athikulwongse, M Healy, M Hossain, M Jung, I Khorosh, ...
IEEE International Solid-State Circuits Conference, 188-190, 2012
2212012
3D-MAPS: 3D Massively parallel processor with stacked memory
DH Kim, K Athikulwongse, M Healy, M Hossain, M Jung, I Khorosh, ...
IEEE International Solid-State Circuits Conference, 188-190, 2012
2212012
3D-MAPS: 3D massively parallel processor with stacked memory
DH Kim, K Athikulwongse, M Healy, M Hossain, M Jung, I Khorosh, ...
IEEE International Solid-State Circuits Conference, 188-190, 2012
2212012
Chasing carbon: The elusive environmental footprint of computing
U Gupta, YG Kim, S Lee, J Tse, HHS Lee, GY Wei, D Brooks, CJ Wu
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
2082021
Recnmp: Accelerating personalized recommendation with near-memory processing
L Ke, U Gupta, BY Cho, D Brooks, V Chandra, U Diril, A Firoozshahian, ...
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
1792020
Eager writeback-a technique for improving bandwidth utilization
HHS Lee, GS Tyson, MK Farrens
Proceedings of the 33rd annual ACM/IEEE international symposium on …, 2000
1652000
Deeprecsys: A system for optimizing end-to-end at-scale neural recommendation inference
U Gupta, S Hsia, V Saraph, X Wang, B Reagen, GY Wei, HHS Lee, ...
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
1642020
High efficiency counter mode security architecture via prediction and precomputation
W Shi, HS Lee, M Ghosh, C Lu, A Boldyreva
32nd International Symposium on Computer Architecture (ISCA'05), 14-24, 2005
1632005
High Efficiency Counter Mode Security Architecture via Prediction and Precomputation
WSHHS Lee, M Ghosh, C Lu, A Boldyreva
163*
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20